熟女人妻水多爽中文字幕,国产精品鲁鲁鲁,隔壁的少妇2做爰韩国电影,亚洲最大中文字幕无码网站

技術頻道

娓娓工業
您現在的位置: 中國傳動網 > 技術頻道 > 技術百科 > 基于CPLD的TDI/CCD圖像傳感器驅動時序設計

基于CPLD的TDI/CCD圖像傳感器驅動時序設計

時間:2008-07-03 11:35:00來源:ronggang

導語:?提出了基于 CPLD(復雜可編程邏輯器件)實現 TDI /CCD (時間延時積分、電荷耦合器件)驅動電路的方法
摘 要:提出了基于 CPLD(復雜可編程邏輯器件)實現 TDI /CCD (時間延時積分、電荷耦合器件)驅動電路的方法。選用 Altera 公司的 MAX7000AE 系列 CPLD 作為硬件設計平臺,運用 VHDL 語言對驅動時序進行硬件描述,采用 QuartusII 對所設計的驅動時序發生器進行了仿真。測量與仿真結果證明是可行的。 關鍵詞:圖像傳感器;時間延時積分、電荷耦合器件;復雜可編程邏輯器件;驅動時序發生器 引 言   電荷耦合器件(CCD)作為新興固體成像器件,具有體積小、重量輕、分辨率高、靈敏度高、可靠性好等優點,廣泛應用于圖像傳感、景物識別、非接觸測量等領域。TDI/CCD (時間延時積分、電荷耦合器件),與普通線陣CCD相比,一個主要優點是能夠在較低的光照條件下工作;同時,TDI/CCD通過多次曝光可減少像元間響應不均勻和固定圖形噪聲的影響,提高可見光CCD的靈敏度和均勻性。TDI/CCD在航天航空等領域有著非常廣泛的用途。   TDI/CCD應用的關鍵是驅動信號的產生及輸出信號的處理。由于不同廠家不同型號TDI/CCD 器件的驅動電路各不相同,致使驅動信號的產生必須根據具體的TDI/CCD器件時序要求來設計驅動電路。如何快速方便地產生TDI/CCD驅動時序,成為TDI/CCD應用的關鍵。使用專用IC驅動TDI/CCD集成度高但是費用高,靈活性和可移植性不好;傳統的時序發生器實現方法如單片機驅動法、EPROM 驅動法、直接數字驅動法等,由于速度和功能上的限制,已不能滿足TDI/CCD應用向高速、小型化、智能化發展的需要。可編程邏輯器件CPLD以其高集成度、高速度、高可靠性、開發周期短可滿足這些需要,與VHDL語言的結合可以很好地解決上述問題。由于可編程邏輯器件可以通過軟件編程對其硬件的結構和工作方式進行重構,從而使得硬件的設計可以如同軟件設計那樣方便快捷。   本文分析了Fairchild公司的時間延時積分線陣電荷耦合器件CCD525芯片的工作過程和對驅動時序的要求,在此基礎上設計出合理的時序控制方案。選用復雜可編程邏輯器CPLD 作為硬件設計平臺,使用VHDL語言對驅動電路方案進行硬件描述,采用QuartusII對所設計的時序發生器成功地進行了系統仿真。 1 可選輸出CCD 驅動時序發生器原理   1. 1  芯片結構說明   加拿大 Fairchild 公司的 CCD525 是一種有效像元數為2048×96 的四抽頭線陣 TDI/CCD,其像敏單元尺寸為13m(水平)×13μm(垂直),TDI級數為 24,32,48,64,96可調;每個抽頭輸出頻率為 25MHZ,總輸出頻率為 100MHZ。原理結構如圖1 所示: [align=center] 圖1 CCD525 原理結構圖[/align]   它的有效象素單元分四列轉移并分別由Vout1、Vout2、Vout3、Vout4三個端口輸出;驅動脈沖由垂直轉移時鐘V1、V2、V3和水平轉移時鐘H1、H2、H3、H4,復位脈沖(fw),采樣脈沖(cy),同步脈沖(tb),鉗位脈沖(qw),背景撇出柵脈沖(PIG),積分信號傳輸到多路傳輸器控制電極脈沖(PTG)構成。其中鉗位脈沖使輸出信號鉗制在零信號電平上。這些信號均由CCD 驅動時序發生器產生。   1. 2  驅動時序分析   TDI/CCD 的一個工作周期分為光積分階段和電荷轉移兩個階段。在光積分階段,存儲柵和模擬移位寄存器隔離,不發生電荷轉移,而是分別工作,存儲柵進行光積分,采集到的光信號在四相水平驅動脈沖的作用下向四個輸出端轉移電荷,由Vout1、Vout2、Vout3、Vout4 端分別并行輸出,復位信號清除移位寄存器中的殘余電荷。在電荷轉移階段,存儲柵和模擬移位寄存器之間導通,在三相垂直轉移脈沖驅動下實現感光陣列光積分所得的光生電荷并行地分別轉移到模擬移位寄存器的電荷勢阱中。此時,輸出脈沖停止工作,輸出端沒有有效信號輸出。以下是CCD525芯片技術資料中提供的所需時序,圖2,圖3所示:
圖 3 驅動電路所需水平轉移時鐘時序
  進行電荷轉移時,三相控制時序依次變化。在整個周期里,CDS(相關雙采樣)控制信號fw、qw、cy一直有效,占空比較小.由于像元信號噪聲的隨機性,為了提高了系統信噪比,采用了對像元信號列合并的方式,即每進行兩個像元的信號移出(水平轉移脈沖信號控制) ,進行一次復位、鉗位、采樣,且應對第一個像元復位、鉗位,在第二個像元處采樣。 這樣在CDS(相關雙采樣) 的信號存儲電容上,將相鄰兩像元的信號電荷進行積分疊加后輸出,實現合并。 2 CCD 驅動時序的CPLD 實現   2.1  復雜可編程邏輯器件( CPLD)   復雜的可編程邏輯器件(CPLD)包括可編程邏輯宏單元、可編程I/ O 單元、可編程內部連線三種結構,在速度上有一定的優勢,成為高頻領域應用的首選器件。結合系統工作頻率高的特點,這里選用A1tera公司MAX 7000系列器件。MAX 7000系列是工業界速度最快的高集成度可編程邏輯器件系列,可模仿TTL ,并且可將SSI(小規模集成電路) 、MSI(中規模集成電路) 、LSI(大規模集成電路)的邏輯功能高密度集成。   2.2  CCD 驅動時序的 VHDL 描述   復雜的驅動時序關系使得驅動時序發生器的設計規模較大、復雜程度較高,很難用傳統的邏輯圖和布爾方程描述,必須采用更抽象層次的描述方法,以實現自頂向下的設計。硬件描述語言(VHDL)可以實現高層次復雜邏輯的設計,實現了硬件設計的軟件化。設計TDI/CCD 驅動時序發生器,關鍵是用VHDL語言描述其驅動時序關系以及消除CPLD輸出信號產生的毛刺。設計中選用Altera 公司的復雜可編程邏輯器件 MAX7000AE ,在EDA 軟件開發平臺 QuartusII.v5上,運用VHDL語言編程,實現了TDI/CCD驅動時序發生器的設計。首先定義時序驅動器的輸入輸出端:一個輸入端:時鐘輸入(clk)輸入;十二個輸出信號:垂直轉移時鐘V1、V2、V3和水平轉移時鐘H1、H2、H3、H4,復位脈沖(fw),采樣脈沖(cy),同步脈沖(tb),鉗位脈沖(qw),背景撇出柵脈沖(PIG),積分信號傳輸到多路傳輸器的控制電極脈沖(PTG)。CCD圖像傳感器的驅動實質上是多重嵌套的循環。其中,感光階段和轉移階段構成了時序循環外環,一次循環代表了一幀圖像從曝光到轉移的全過程;感光階段存儲區的逐行轉移構成時序循環的中環,一次循環結束表明CCD感光階段結束;在行轉移信號結束后,一行圖像的元素逐列輸出,構成了時序循環的內環。 一個循環周期代表一幀圖像中一行像素的轉移。 各循環之間采用計數器進行控制。 整體的循環過程構成了系統的主工作流程。下附部分源程序:   library ieee;   use ieee.std_logic_1164.all;   use ieee.std_logic_unsigned.all;   entity TDI is   port(clk1 :in std_logic;   h1,h2,h3 :out std_logic;   v1 :out std_logic;   v2 :out std_logic;   v3 :out std_logic;   fw :out std_logic;   qw :out std_logic;   cy :out std_logic;   tb :out std_logic;   pig,ptg :out std_logic;   end TDI;   architecture rtl of TDI is   component dff ——器件例化   ......   begin   process(clk1)   begin   if(clk1‘event and clk1=‘1‘)then   if(count6="01")then   count6<=(others=>‘0‘);   else   count6<=count6 + 1;   end if;   end if;   —— clk2<=count6(0);   end process;   dffx: dff port map(count6(0),clk1,clk2);   process(clk2)   begin   if( clk2‘event and clk2=‘1‘)then   if(count1="10")then   count1<=(others=>‘0‘);   else   count1<=count1 + 1;   end if;   end if;   end process;   ......   2.3  CCD 驅動時序的系統仿真   使用 QuartusII 軟件對驅動時序發生器進行仿真。在整個幀周期中,系統先進入感光階段,感光區像元進行電荷積累,同時存儲區、轉移寄存器、輸出電路將上一幀的電荷信號讀出;然后進行轉移階段,將感光區的像元電荷整幀轉移到存儲區;系統再次進入感光階段,將本幀信號讀出,同時感光區進入下一幀電荷積累。輸入復位信號(Op) 外接一個RC 充電電路。 系統一上電,輸入為低電平,系統各信號復位;經過短暫延時后,變為高電平,系統開始運行由QuartusII 軟件根據系統設計選擇相應的CPLD 器件,選用Altera 公司的EPM7128SLC84-7。驅動時序仿真波形如圖4 ,圖5所示(分別對比 fairchild 公司技術資料所給垂直轉移時鐘,水平轉移時鐘指標)
 
3 結 論   以上設計首次用CPLD代替了傳統的IC實現了對TDI/CCD的時序驅動。仿真結果達到了fairchirld 公司技術資料所給的垂直轉移時鐘使能時間750ns,水平轉移時鐘周期40ns的技術指標。在重慶光電所的測試中,該驅動時序發生器能有效驅動TDI/CCD芯片和CDS(相關雙采樣)信號,并且工作穩定可靠,其開發制造過程簡化了以往硬件的開發制造過程。 參考文獻   1  王慶有。 圖像傳感器應用技術。 天津: 電子工業出版社,2003   2  宋萬杰,羅豐,吳順軍。 CPLD 技術及其應用。 西安:西安電子科技大學出版社,2000   3 谷林,胡曉東,羅長洲,等。 基于 CPLD 的線陣 TDI/CCD 光積分時間的自適應調節。 光子學報,2002 ,31 (12) :1533~1537   4 張虎, 李自田, 汶德勝。 一種多 TDI/CCD 系統時序產生方法。 微計算機應用,2002 ,23 (5) :296~298

標簽:

點贊

分享到:

上一篇:變頻器及可編程控制器在鍋爐...

下一篇:微能WIN-V63矢量控制變頻器在...

中國傳動網版權與免責聲明:凡本網注明[來源:中國傳動網]的所有文字、圖片、音視和視頻文件,版權均為中國傳動網(www.hysjfh.com)獨家所有。如需轉載請與0755-82949061聯系。任何媒體、網站或個人轉載使用時須注明來源“中國傳動網”,違反者本網將追究其法律責任。

本網轉載并注明其他來源的稿件,均來自互聯網或業內投稿人士,版權屬于原版權人。轉載請保留稿件來源及作者,禁止擅自篡改,違者自負版權法律責任。

網站簡介|會員服務|聯系方式|幫助信息|版權信息|網站地圖|友情鏈接|法律支持|意見反饋|sitemap

傳動網-工業自動化與智能制造的全媒體“互聯網+”創新服務平臺

網站客服服務咨詢采購咨詢媒體合作

Chuandong.com Copyright ?2005 - 2025 ,All Rights Reserved 深圳市奧美大唐廣告有限公司 版權所有
粵ICP備 14004826號 | 營業執照證書 | 不良信息舉報中心 | 粵公網安備 44030402000946號

主站蜘蛛池模板: 康乐县| 望江县| 临洮县| 江安县| 景德镇市| 兴国县| 辽中县| 正蓝旗| 赤城县| 民县| 南康市| 宁城县| 吉林省| 滦南县| 屏南县| 马龙县| 花垣县| 莒南县| 山西省| 增城市| 西乡县| 土默特左旗| 铁岭市| 丹江口市| 观塘区| 利辛县| 栾川县| 濉溪县| 高安市| 清流县| 乌拉特中旗| 石狮市| 太谷县| 宝兴县| 弋阳县| 定日县| 津南区| 驻马店市| 漾濞| 黄浦区| 定结县|